Modelling and automated implementation of optimal power saving strategies in coarse-grained reconfigurable architectures

PALUMBO, FRANCESCA;FANNI, TIZIANA;SAU, CARLO;MELONI, PAOLO;RAFFO, LUIGI
2016-01-01

Abstract

This paper focuses on howto efficiently reduce power consumption in coarse-grained reconfigurable designs, to allowtheir effective adoption in heterogeneous architectures supporting and accelerating complex and highly variablemultifunctional applications.We propose a design flow for this kind of architectures that, besides their automatic customization, is also capable of determining their optimal power management support. Power and clock gating implementation costs are estimated in advance, before their physical implementation, on the basis of the functional, technological, and architectural parameters of the baseline design. Experimental results, on 90 and 45 nm CMOS technologies, demonstrate that the proposed approach guides the designer towards optimal implementation.
2016
Inglese
2016
4237350
1
27
27
http://www.hindawi.com/journals/jece/
Esperti anonimi
internazionale
scientifica
Signal Processing; Computer Science (all); Electrical and Electronic Engineering
no
Palumbo, Francesca; Fanni, Tiziana; Sau, Carlo; Meloni, Paolo; Raffo, Luigi
1.1 Articolo in rivista
info:eu-repo/semantics/article
1 Contributo su Rivista::1.1 Articolo in rivista
262
5
open
File in questo prodotto:
File Dimensione Formato  
Modelling_and_automated.pdf

accesso aperto

Tipologia: versione editoriale
Dimensione 2.27 MB
Formato Adobe PDF
2.27 MB Adobe PDF Visualizza/Apri

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Questionario e social

Condividi su:
Impostazioni cookie